Skip to content

fix of crashung readout in case of triggers not together with in the DLM...

Adrian A. Weber requested to merge a.weber/cri:master into master

fix of crashing readout in case of triggers not coming together with in the DLM (CALIBRATION; seen while no DLM transmitted.). Now in case of 0xD trigger, the last DLM message is written to CTS and the readout is finished.

Merge request reports