Skip to content
Snippets Groups Projects
Select Git revision
  • 490dda2d24ef3c6893f3a3fef380e29b9fe6a342
  • master default protected
  • nightly_master
  • online_much_readconf_cleanup protected
  • online_mvd_readconf_cleanup protected
  • jul25_patches
  • cleanup_rich_v25a
  • jul24_patches
  • nov23_patches
  • DC_2404
  • nighly_master
  • DC_Jan24
  • DC_Nov23
  • DC_Oct23
  • feb23_patches
  • L1Algo-dev9
  • dec21_patches protected
  • apr21_patches protected
  • dev_2025_47
  • RC2_jul25
  • dev_2025_46
  • dev_2025_45
  • dev_2025_44
  • dev_2025_43
  • dev_2025_42
  • dev_2025_41
  • dev_2025_40
  • dev_2025_39
  • dev_2025_38
  • dev_2025_37
  • dev_2025_36
  • dev_2025_35
  • dev_2025_34
  • dev_2025_33
  • dev_2025_32
  • dev_2025_31
  • dev_2025_30
  • RC_jul25
38 results

CbmErrorMessage.cxx

Blame
  • wb_cdc.tcl 1.22 KiB
    # Scoped constraints for xpm_cdc_handshake
    set slave_clk  [get_clocks -quiet -of [get_ports slave_clk_i]]
    set master_clk [get_clocks -quiet -of [get_ports master_clk_i]]
    
    set slave_clk_period  [get_property -quiet -min PERIOD $slave_clk]
    set master_clk_period [get_property -quiet -min PERIOD $master_clk]
    
    #set xpm_cdc_hs_width [llength [get_cells dest_hsdata_ff_reg[*]]]
    #set xpm_cdc_hs_num_s2d_dsync_ff [llength [get_cells xpm_cdc_single_src2dest_inst/syncstages_ff_reg[*]]]
    
    if {$slave_clk == ""} {
        set slave_clk_period 1000
    }
    
    if {$master_clk == ""} {
        set master_clk_period 1001
    }
    
    if {$slave_clk != $master_clk} {
       set_false_path -to [get_cells resp_s0*_reg*]
       set_max_delay -from $slave_clk -to [get_cells req_m0*_reg*] $master_clk_period -datapath_only
       set_max_delay -from $slave_clk -to [get_cells master_o*_reg*] $master_clk_period -datapath_only
       set_max_delay -from $master_clk -to [get_cells slave_o*_reg*] $slave_clk_period -datapath_only
    } elseif {$src_clk != "" && $dest_clk != ""} {
        common::send_msg_id "AGWB_CDC_HANDSHAKE: TCL-1000" "WARNING" "The source and destination clocks are the same. \n     Instance: [current_instance .] \n  This will add unnecessary latency to the design. Please check the design."
    }