Skip to content
Snippets Groups Projects
Select Git revision
  • master
  • HEAD
2 results

endpoint_test.xdc

Blame
  • Forked from DAQ / Externals / TRB / cri
    22 commits behind the upstream repository.
    endpoint_test.xdc 935 B
    set_property PACKAGE_PIN AK38 [get_ports MGTREFCLK_N]
    set_property PACKAGE_PIN AK37 [get_ports MGTREFCLK_P]
    
    create_clock -period 10.000 -name MGTREFCLK_P [get_ports MGTREFCLK_P]
    
    set_property PACKAGE_PIN AT18 [get_ports CLK_200_P]
    set_property IOSTANDARD LVDS [get_ports CLK_200_P]
    create_clock -period 5.000 -name CLK_200_P [get_ports CLK_200_P]
    
    set_property PACKAGE_PIN K10 [get_ports {MPOD_RESET_N[3]}]
    set_property PACKAGE_PIN K11 [get_ports {MPOD_RESET_N[2]}]
    set_property PACKAGE_PIN G14 [get_ports {MPOD_RESET_N[1]}]
    set_property PACKAGE_PIN H14 [get_ports {MPOD_RESET_N[0]}]
    set_property IOSTANDARD LVTTL [get_ports {MPOD_RESET_N[3]}]
    set_property IOSTANDARD LVTTL [get_ports {MPOD_RESET_N[2]}]
    set_property IOSTANDARD LVTTL [get_ports {MPOD_RESET_N[1]}]
    set_property IOSTANDARD LVTTL [get_ports {MPOD_RESET_N[0]}]
    
    set_property ALLOW_COMBINATORIAL_LOOPS TRUE [get_nets THE_ENDPOINT/THE_ENDPOINT/THE_LVL1_HANDLER/tmg_stretch]